High NA EUV lithography: Next step in EUV imaging

Proceedings of SPIE(2019)

引用 32|浏览24
暂无评分
摘要
Moore's law drives the doubling of the number of transistors per unit area every 2-3 years. To enable cost-effective shrink of future devices, a new High-NA EUV platform is being developed in a joint collaboration between ASML and Carl Zeiss SMT. The High-NA EUV scanner employs a novel Projection Optics Box (POB) design concept with a numerical aperture of 0.55 that enables 8nm half pitch resolution and a high throughput. The novel POB design concept tackles the limitations in angular acceptance of the EUV multilayer (ML) masks at increased NA, however also has implications on the system design and usage of the tool. The introduction of a central obscuration in the POB reduces the angular load on the ML mirrors inside the POB, enabling a high transmission and therefore high throughput. The obscuration size has been chosen for minimal impact on imaging performance. Furthermore, the High-NA scanner will be equipped with a highly flexible illuminator, similar to ASML's NXE:3400 illuminator, that supports loss-less illumination shapes down to 20% pupil fill ratio (PFR). In this paper, we will show that High-NA EUV delivers increased resolution and contrast, thereby supporting EPE requirements of future nodes. We will show that the obscuration can benefit the imaging performance of via-and cutmask-layers by blocking the zeroth order light from the pupil, enhancing image contrast. Further contrast enhancement is possible by introducing alternative absorber stacks.
更多
查看译文
关键词
EUV,Imaging,High-NA,Obscuration,Mask3D,SMO,absorber
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要