One micron redistribution for fan-out wafer level packaging

Electronics Packaging Technology Conference Proceedings(2017)

引用 9|浏览37
暂无评分
摘要
Fan-Out wafer level packaging has seen rapid adoption over the last few years due to its form factor, performance, and cost advantages compared to 3D packaging techniques. Redistribution layers (RDL) are used to route the very high density connections on the chip to the much lower density connections of the substrate. Multiple layers of RDL are required in order to match the line density of the chip. This in turn increases the cost of the total package. Decreasing the metal line width for RDL supports reducing the number of redistribution levels and decreasing the total packaging cost. Reducing the RDL width requires tightening of requirements for the lithography tool and the photoresist process. Also, electroplating and etching processes need to be enhanced. This study investigates creation of 1gtm RDL structures using a through resist electroplating process. A test vehicle was designed that contains various test structures used to characterize the process. This includes metrology structures for in-line monitoring, CD-SEM measurements, and comb and serpentine electrical test structures. The process starts with the deposition of a Cu seed layer needed for electroplating followed by lithography, electroplating, resist strip and Cu seed removal. The minimum thickness of the seed layer is constrained since the uniformity of the electroplating process also depends on the resistance and thus thickness of this layer. This thickness in turn has a large impact on line fidelity after removal of the Cu seed at the end of the process. In order to fabricate RDL lines with sufficient low resistance the plating thickness needs to be maximized. This translates to maximizing the aspect ratio of the photoresist pattern. In order to minimize the CD loss by Cu seed etching the wet process has to be controlled carefully. The lithography process window must have a large depth of focus in order to accommodate local height variation due to wafer topography in Fan-Out packaging. The optical lithography tool used in this study has a variable numerical aperture (NA) that can be optimized to maximize depth of focus while maintaining resolution performance in photoresist. After completing the development of the 1 mu m RDL process the electrical performance was validated with meandercomb electrical test structures. The results show that lithography needs to be performed at the isofocal setpoint in order to obtain the optimal depth of focus of 7.5 mu m. Seed etch will reduce the Cu linewidth by 300 to 500nm and an offset in lithography CD may be required to compensate this loss. With optimal conditions good electrical yield is obtained for 1.0 mu m L/S meandercomb test structures.
更多
查看译文
关键词
micron redistribution,fan-out wafer level packaging,3D packaging techniques,redistribution layers,multiple layers,line density,metal line width,redistribution levels,total packaging cost,photoresist process,RDL structures,test vehicle,metrology structures,in-line monitoring,serpentine electrical test structures,line fidelity,RDL lines,sufficient low resistance,wet process,lithography process window,optical lithography tool,seed etch,lithography CD,copper seed layer,fan-out packaging,very high density connections,RDL process,meander comb electrical test structures,L-S meander comb test structures,chip line density matching,RDL width reduction,resist etching processes,resist strip,copper seed removal,plating thickness,CD loss minimization,wafer topography,local height variation,variable numerical aperture,photoresist,size 300.0 nm to 500.0 nm,size 1.0 mum,depth 7.5 mum,Cu
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要