SuperFlow: A Fully-Customized RTL-to-GDS Design Automation Flow for Adiabatic Quantum- Flux - Parametron Superconducting Circuits.

Design, Automation, and Test in Europe(2024)

引用 0|浏览2
暂无评分
摘要
Superconducting circuits, like Adiabatic Quantum- Flux-Parametron (AQFP), offer exceptional energy efficiency but face challenges in physical design due to sophisticated spacing and timing constraints. Current design tools often neglect the importance of constraint adherence throughout the entire design flow. In this paper, we propose SuperFlow, a fully-customized RTL-to-GDS design flow tailored for AQFP devices. SuperFlow leverages a synthesis tool based on CMOS technology to transform any input RTL netlist to an AQFP-based netlist. Subsequently, we devise a novel place-and-route procedure that simultaneously con-siders wirelength, timing, and routability for AQFP circuits. The process culminates in the generation of the AQFP circuit layout, followed by a Design Rule Check (DR C) to identify and rectify any layout violations. Our experimental results demonstrate that SuperFlow achieves 12.8% wirelength improvement on average and 12.1 % better timing quality compared with previous state- of-the-art placers for AQFP circuits.
更多
查看译文
关键词
Superconducting Circuits,Design Automation Flow,Time Constraints,Design Flow,Complementary Metal Oxide Semiconductor Technology,Objective Function,Shortest Path,Root Node,Directed Graph,Place Cells,Time Requirements,Expansion Of Space,Minimum Spacing,Clock Frequency,Cell Library,Depth-first,Rows Of Cells,Clock Signal,Clock Phase,Final Layout,Cells Of Different Sizes,Electronic Design Automation,Pipelining
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要