Thermo-Mechanical Reliability Characteristics of 8H HBM3.

Jinsoo Bae, H. G. Noh, S. J. Yoo, I. J. Choi,G. H. Bae, Y. M. Shim,S. G. Lee, H. Jang,S. M. Lee, G. H. Chang,K. S. Kwon,C. B. Yoon,S. Y. Lee, J. W. Pyun, H. J. Kim, S. B. Ko,Y. C. Hwang,S. Pae

IEEE International Reliability Physics Symposium(2024)

Cited 0|Views1
No score
Abstract
Due to the demands of artificial intelligence (AI) and high-performance computing (HPC) servers, high bandwidth memory (HBM) used in system in package (SiP) has increased tremendously. HBM has been built with stacked DRAM memories (ranging from 8, called 8H, to the most advanced ones with 12 dies, 12H, H refers to the height) through-silicon via (TSV) connections with the number of HBM uses increasing from 2–4 to 6–8, and possibly more in the future. With so many stacked DRAM dies along with increased number in the 2.5D package, the quality and reliability of HBM is critical. Foremost, the thermo-mechanical(TM) issues when integrated with 2.5D package is important and must be comprehended in advance at the HBM chip level. The main purpose of this study is to present evaluation criteria for the stand-alone HBM package (namely Proxy HBM package) with TSV interposer and thick substrate PCB that can emulate the reliability of 2.5D package. TM reliability characteristics of HBM2E and 3 with 8H is discussed from various perspectives through experiment, physical analysis, and field prediction. Our study showed that the stress of the Proxy package was 10.97% higher than that of 2.5D package. Through acceleration factor (AF) analysis refer to JESD94, it is expected that the TC reliability of 2.5D package could be explained by the proxy package TC evaluation.
More
Translated text
Key words
HBM,2.5D package,Thermo-mechanical,Reliability
AI Read Science
Must-Reading Tree
Example
Generate MRT to find the research sequence of this paper
Chat Paper
Summary is being generated by the instructions you defined