TNNGen: Automated Design of Neuromorphic Sensory Processing Units for Time-Series Clustering

Prabhu Vellaisamy,Harideep Nair, Vamsikrishna Ratnakaram, Dhruv Gupta,John Paul Shen

IEEE Transactions on Circuits and Systems II: Express Briefs(2024)

引用 0|浏览5
暂无评分
摘要
Temporal Neural Networks (TNNs), a special class of spiking neural networks, draw inspiration from the neocortex in utilizing spike-timings for information processing. Recent works proposed a microarchitecture framework and custom macro suite for designing highly energy-efficient application-specific TNNs. This paper introduces TNNGen, a pioneering effort towards the automated design of TNNs from PyTorch software models to post-layout netlists. TNNGen comprises a novel PyTorch functional simulator for TNN modeling and application exploration and a Python-based hardware generator for PyTorch-to-RTL and RTL-to-Layout conversions. Seven representative TNN designs for time-series signal clustering across diverse sensory modalities are simulated, and their post-layout hardware complexity and design process runtimes are assessed to demonstrate the effectiveness of TNNGen. We also show TNNGen’s ability to forecast silicon metrics accurately without running the hardware process flow.
更多
查看译文
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要