Timing Analysis Beyond Complementary CMOS Logic Styles

ASPDAC '24: Proceedings of the 29th Asia and South Pacific Design Automation Conference(2024)

引用 0|浏览1
暂无评分
摘要
With scaling unabated, device density continues to increase, but power and thermal budgets prevent the full use of all available devices. This leads to the exploration of alternative circuit styles beyond traditional CMOS, especially dynamic data-dependent styles, but the excessive pessimism inherent in conventional static timing analysis tools presents a barrier to adoption. One such circuit family is Pass-Transistor Logic (PTL), which holds significant promise but behaves differently from CMOS in that traditional CMOS-oriented EDA tools cannot produce sufficiently accurate performance estimates. In this work, we revisit tuning analysis and its premises and show a significantly improved methodology of a more generalized dynamic timing engine that accurately predicts timing performance for traditional CMOS as well as PTL with an accuracy of 4.0% compared to SPICE and with a run-time comparable to traditional gate-level simulation. The run-time improvement compared with SPICE is four orders of magnitude.
更多
查看译文
关键词
CMOS Logic,Dynamic Time,Static Analysis,Electronic Design Automation,End Time,Inverter,Exponential Model,Time Error,Current Events,Output Gate,Circuit Simulation,Partial Transition,Linear Ramp,Fixed-point Iteration,Gate Model,Input Waveform,Input Events,SPICE Simulations,Traditional Circuit
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要