Computer Architecture and Chip Design for DIKWP Artificial Consciousness.

IEEE International Conference on Smart City(2023)

引用 0|浏览0
暂无评分
摘要
Artificial intelligence systems are associated with inherent risks, such as uncontrollability and lack of interpretability. To address these risks, we need to develop artificial intelligence systems that are interpretable, trustworthy, responsible, and thinking and behavior consistent, which we refer to as artificial consciousness (AC) systems. Consequently, we propose and define the concepts and implementation of a computer architecture, chips, runtime environment, and the DIKWP language. Furthermore, we have overcome the limitations of traditional programming languages, computer architectures, and software-hardware implementations when creating AC systems. Our proposed software and hardware integration platform will make it easier to build and operate AC software systems based on DIKWP theories.
更多
查看译文
关键词
DIKWP,DIKWP Artificial Consciousness,DIKWP computer architecture,DIKWP chip,DIKWP language,Semantic security
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要