Signal and Power Integrity Performance of CoWoS-R in Chiplet Integration Applications

Chuei-Tang Wang, Shu-An Shang, Yu-Ming Hsiao, Kathy Yan, Shin-Puu Jeng, Kam Heng Lee,Jun He

2023 IEEE 25th Electronics Packaging Technology Conference (EPTC)(2023)

引用 0|浏览2
暂无评分
摘要
CoWoS-R RDL interposer technology is a key enabling solution to provide low parasitic interconnects between chiplets for high performance computing (HPC) applications. In the study, UCIe IO circuit with data rate up to 32GT/s is implemented on the CoWoS-R technology, the solutions to mitigate the crosstalk of the high-speed transmission line from RDL line arrangements are explored for signal integrity (SI) performance. For power integrity (PI), the power delivery network (PDN) impedance for the RDL interposer structure is studied. To reduce the impedance, integrated passive device (IPD) capacitor is applied. The IPD placed on the bottom of the RDL interposer could provide better impedance performance with 23% reduction at 100 MHz.
更多
查看译文
关键词
Integration Of Signals,Power Integrator,Data Rate,Linear Range,High-performance Computing,Reduction In Resistance,Resonance Peak,High Data Rate,Current Devices,Effect Of Spacing,Equivalent Series Resistance,Wide Signal,Ansys HFSS,Induction Of Components,Grounding Line,Eye Diagrams,Power Loop,Low Crosstalk,Eye Width,Current Sink
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要