Multi-Corner Timing Analysis Acceleration for Iterative Physical Design of ICs

Wei W. Xing, Longze Wang, Zhelong Wang, Zhaoyu Shi,Ning Xu,Yuanqing Cheng,Weisheng Zhao

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems(2024)

引用 0|浏览2
暂无评分
摘要
We propose a multi-corner multi-stage timing analysis prediction framework using a generalized linear model with latent features. We then further improve such methods using kernel trick extension, transfer learning with knowledge from previous designs, and multi-output feature engineering to deliver state-of-the-art (SOTA) prediction accuracy with very limited training data. Most importantly, our method is equipped with a Bayesian decision strategy to deliver reliable predictions with accuracy close to 100%, pushing the frontier of the machine-learning-based STA for practical implementation in the industry environment, where reliability is highly desired. Experimental results show that the accuracy of our proposed method outperforms the SOTA competitors by up to 4x and can improve prediction accuracy to 100% with little extra STA executions.
更多
查看译文
关键词
Static Timing Analysis,Multi-Process Corners,Gaussian Process,Bayesian Decision Theory,Active Learning,Machine Learning,Featured Engineering
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要