基于SATA3.0 的高速大容量存储系统设计

LUO Yiling,DU Yuming,LI Yijie

Journal of Chengdu University Of Information Technology(2023)

Cited 0|Views0
No score
Abstract
在通信技术快速发展的背景下,数据传输呈现速度快、容量大、带宽高的特点,为解决由此带来的数据缓存问题,设计一款基于 SATA3.0 协议的高速大容量存储系统.采用Xilinx-Virtex7 系列 XC7VX690TFFG1158 作为控制核心,SRIO 协议缓存前级高带宽大容量的数据,同 SSD 的数据通信采用 SATA3.0 协议,同上位机之间的数据通信采用 RGMII 协议.利用 FPGA 并行处理数据的优点,应用 RAID_0 阵列实现并行控制 8 块 SSD.经实际测试,存储系统平均写入速度大于20 Gb/s,整个 SSD 阵列平均写入速度可达29.2 Gb/s,平均读取速度可达35.7 Gb/s,在卫星通信等高速数字信号处理领域有广泛应用前景.同时为高速大容量存储系统的设计提供一定的参考意义.
More
Translated text
Key words
FPGA,SATA3.0,SRIO,RAID_0 arrays,GTH transceiver
AI Read Science
Must-Reading Tree
Example
Generate MRT to find the research sequence of this paper
Chat Paper
Summary is being generated by the instructions you defined