TeAAL: A Declarative Framework for Modeling Sparse Tensor Accelerators

56TH IEEE/ACM INTERNATIONAL SYMPOSIUM ON MICROARCHITECTURE, MICRO 2023(2023)

引用 0|浏览27
暂无评分
摘要
Over the past few years, the explosion in sparse tensor algebra workloads has led to a corresponding rise in domain-specific accelerators to service them. Due to the irregularity present in sparse tensors, these accelerators employ a wide variety of novel solutions to achieve good performance. At the same time, prior work on design-flexible sparse accelerator modeling does not express this full range of design features, making it difficult to understand the impact of each design choice and compare or extend the state-ofthe-art. To address this, we propose TeAAL: a language and simulator generator for the concise and precise specification and evaluation of sparse tensor algebra accelerators. We use TeAAL to represent and evaluate four disparate state-of-the-art accelerators-ExTensor, Gamma, OuterSPACE, and SIGMA-and verify that it reproduces their performance with high accuracy. Finally, we demonstrate the potential of TeAAL as a tool for designing new accelerators by showing how it can be used to speed up vertex-centric programming accelerators-achieving 1.9x on BFS and 1.2x on SSSP over GraphDynS.
更多
查看译文
关键词
Sparse Tensor,Acceleration Model,Specific Design,Points In Space,Rank Order,Local Time,Sparse Data,Matrix Multiplication,Local Space,Levels Of Hierarchy,Uncompressed,Loop Order,Graph Algorithms,Input Tensor,Synthetic Matrix,1D Convolution,Domain-specific Languages,Running Example,Einstein Summation,Output Tensor,Concrete Representations,Hardware Parameters,Partial Products,Tensor Form
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要