Honeycomb: Ordered Key-Value Store Acceleration on an FPGA-Based SmartNIC

IEEE TRANSACTIONS ON COMPUTERS(2024)

引用 0|浏览39
暂无评分
摘要
In-memory ordered key-value stores are an important building block in modern distributed applications. We present Honeycomb, a hybrid software-hardware system for accelerating read-dominated workloads on ordered key-value stores that provides linearizability for all operations including scans. Honeycomb stores a B-Tree in host memory. It executes put , update and delete on a CPU. At the same time, it offloads scan and get onto an FPGA-based SmartNIC. This approach enables large stores and simplifies the FPGA implementation but raises the challenge of data access and synchronization across the slow PCIe bus. We describe how Honeycomb overcomes this challenge with careful data structure design, caching, request parallelism with out-of-order execution, wait-free read operations, and fast synchronization between the CPU and the FPGA. For read-heavy YCSB workloads, Honeycomb increases the throughput of a state-of-the-art ordered key-value store by at least 1.8x . For scan-heavy workloads inspired by cloud storage, Honeycomb increases the throughput by more than 2x . The cost-performance, which is more important for large-scale deployments, is improved by at least 1.5x on these workloads.
更多
查看译文
关键词
FPGA,SmartNIC,key-value store,B-Tree,MVCC
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要