Selective plasma etching of silicon-containing high chi block copolymer for directed self-assembly (DSA) application

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B(2021)

Cited 3|Views8
No score
Abstract
Directed self-assembly (DSA) of block copolymers (BCPs) is an advanced patterning technique being investigated to obtain small and dense patterns for future technological nodes. In order to demonstrate the potential of DSA to extend optical lithography, poly(styrene-b-methyl methacrylate) (PS-b-PMMA) has been the most commonly used block copolymer in different applications, such as line/space and contact hole patterning as well as uniformity repair. However, the minimum pitch for the PS-b-PMMA system is limited to around 24 nm due to its relatively weak segregation strength. Therefore, block copolymers with stronger microphase segregation have been developed to enable sub10 nm patterning, thus obtaining the so-called "high chi" BCPs. In this article, the silicon-containing high chi system investigated is the poly(styrene-b-1,1-dimethylsilacyclobutane) (PS-b-PDMSB) presenting a pitch of 18 nm. A one-step top coat and PS removal based on H-2/N-2 plasma that presents good selectivity and profile has been studied. The H-2/N-2 gas ratio has been investigated to understand the trade-off between obtaining high selectivity and avoiding pattern collapse. Using this approach, the pattern transfer into different underlayers is demonstrated for the high chi PS-b-PDMSB. Published under an exclusive license by the AVS.
More
Translated text
AI Read Science
Must-Reading Tree
Example
Generate MRT to find the research sequence of this paper
Chat Paper
Summary is being generated by the instructions you defined