Analysis of the Interactions Between ILP and TLP With Hardware Transactional Memory

2022 30th Euromicro International Conference on Parallel, Distributed and Network-based Processing (PDP)(2022)

引用 1|浏览3
暂无评分
摘要
Hardware Transactional Memory (HTM) allows the use of transactions by programmers, making parallel programming easier and theoretically obtaining the performance of fine-grained locks. However, transactions can abort for a variety of reasons, resulting in the squash of speculatively executed instructions and the consequent loss in both performance and energy efficiency. Among the different sources...
更多
查看译文
关键词
Out of order,Microarchitecture,Parallel programming,Pipelines,Parallel processing,Throughput,Hardware
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要