Exploring the stochastics cliff: understanding the impact of LER/LWR to stochastic defectivity and yield

International Conference on Extreme Ultraviolet Lithography 2021(2021)

引用 1|浏览7
暂无评分
摘要
Extreme ultraviolet lithography (EUV) materials and processes are constantly evolving and maturing, making sub-30 nm pitch single-exposure yield feasible. Characterization methodologies for stochastic variations are well-documented at this stage, with most employing critical dimension scanning electron microscopy (CDSEM) and a form of defect inspection to quantify line edge roughness (LER), local critical dimension uniformity and patterning failures. In this paper, the link between LER and stochastic defectivity is explored at a deeper level, as we probe the possibility of nucleating stochastic failures with programmed LER structures at 30 nm pitch using CDSEM, optical inspection and electrical yield data. First, we characterize LER post-develop and post-etch using unbiased LER measurements. Second, we study the defectivity and optical characteristics of the programmed LER pattern post hard mask open using optical inspection. Specifically, we measure the optical noise induced by programmed LER, the inspection performance in terms of defect capture and nuisance rate, and the predictive capability of optical inspection through a comparison of defectivity with electrical yield data.
更多
查看译文
关键词
EUV lithography,Stochastics,Broadband Plasma Inspection,Line Edge Roughness (LER),Characterization
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要