Advances in defect performance in metal oxide photoresists for EUV lithography

Extreme Ultraviolet (EUV) Lithography XII(2021)

引用 3|浏览1
暂无评分
摘要
Inpria has pioneered the development of high-resolution metal oxide (MOx) photoresists designed to unlock the full potential of EUV lithography. In addition to resolution, LWR, and sensitivity to enable advanced process nodes, there are also stringent defectivity requirements that must be realized for any resist system. We will review advances in post-etch defectivity based on: resist design and formulation, track process design, developer design, and etch optimization. We will present data supporting each of these topics quantifying the defect impact and will describe improvement strategies to take full advantage of such MOx resist systems.
更多
查看译文
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要