Chrome Extension
WeChat Mini Program
Use on ChatGLM

3D Wafer Level Packaging for SAW Filter Using Thin Glass Capping with Through Glass Vias

international conference on electronic packaging technology(2021)

Cited 0|Views2
No score
Abstract
The advanced 5G wireless mobile phones have pushed surface acoustic wave (SAW) filters quickly developed in the direction toward miniaturization, high performance and low cost. Three-dimensional wafer-level packaging (3D- WLP) is a promising solution for SAW filter. It needs to be developed and replace conventional package technologies. In this paper, wafer level SAW filter package is designed using glass capping technology. Thin glass with through glass vias (TGVs) is formed through laser-induced chemical etching. Following, the prepared glass capping dies are bonded on the corresponding SAW filter substrate to provide a safe environment for the device function area from corrosions. As compared with thin-film packaging that will cause the material outgassing at high temperatures, resulting in the contamination of the IDT, glass capping technology can avoid those problems. Finite element simulation model is built to calculate stress value to make the final package pass the reliability test. Our experiments indicated that the improved packages pass the reliability test, such as pre-conditional level 3, temperature cycling test (TCT).
More
Translated text
Key words
SAW filter,Wafer level package,Glass capping technology,Chip to wafer,BGA
AI Read Science
Must-Reading Tree
Example
Generate MRT to find the research sequence of this paper
Chat Paper
Summary is being generated by the instructions you defined