Chrome Extension
WeChat Mini Program
Use on ChatGLM

A True Full-Duplex IO (TFD-IO) With Background SI Cancellation for High-Density Interfaces

IEEE Transactions on Very Large Scale Integration (VLSI) Systems(2022)

Cited 2|Views3
No score
Abstract
In this work, we have proposed and experimentally demonstrated a true full-duplex input-output (TFD-IO) for high-speed high-density interfaces. The proposed TFD-IO can be used as an independent module that converts a unidirectional IO/interconnect to a fully bidirectional IO/interconnect, to ideally double the throughput of the high-speed interface. The TFD-IO uses a correlation-based technique to cancel the self-interference (SI) and echoes adaptively in the background. The signals transmitted from the near-end and the far-end can use independent baud-rates and signaling schemes in a TFD-IO. A proof-of-concept design of the TFD-IO module has been fabricated in a 65-nm CMOS technology, and demonstrated with bidirectional throughputs of up to 12.8 Gb/s.
More
Translated text
Key words
Transceivers,Interference,Correlation,Receivers,Full-duplex system,Interference cancellation,Throughput,Adaptive echo cancellation (EC),chiplets,full-duplex (FD),heterogeneous integration,high-speed serial links,simultaneous bidirectional (SBD),source-synchronous transceiver
AI Read Science
Must-Reading Tree
Example
Generate MRT to find the research sequence of this paper
Chat Paper
Summary is being generated by the instructions you defined