Investigation Of Waveguide Modes In Euv Mask Absorbers

JOURNAL OF MICRO-NANOPATTERNING MATERIALS AND METROLOGY-JM3(2021)

引用 7|浏览9
暂无评分
摘要
Background: Explaining imaging phenomena in EUV lithography requires more than a single point of view. Traditionally, the diffraction characteristics of EUV masks are analyzed in terms of the amplitude and phase of diffraction orders that are generated by the absorber pattern.Aim: We propose a complementary perspective to view the EUV mask absorber openings as waveguides.Approach: Comparisons between RCWA simulations and analytical solutions to waveguide equations are performed to prove that EUV mask absorbers behave as a waveguide.Results: This perspective can explain phenomena left unexplained by conventional analysis of far-field diffraction orders.Conclusions: The waveguiding effect in EUV mask absorbers explains the need for low refractive index and high extinction materials. The waveguide perspective explains why attenuated phase shift masks behave differently for EUV than our traditional understanding would suggest. (C) The Authors. Published by SPIE under a Creative Commons Attribution 4.0 Unported License.
更多
查看译文
关键词
extreme ultraviolet lithography, extreme ultraviolet mask, waveguide, extreme ultra-violet mask absorber, mask 3D effects
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要