Electron Beam Mask Writer Ebm-8000p For High-Throughput Mask Production

Tomohiro Iijima, Satoshi Nakahashi, Ryo Iikubo, Takahiro Honbu,Shinsuke Nishimura, Syoji Mori, Hirohiko Honda, Tsuyoshi Yamashita, Tetsurou Nishiyama, Osamu Kawami,Takao Tamura,Kenji Ohtoshi,Hirokazu Yamada

NOVEL PATTERNING TECHNOLOGIES FOR SEMICONDUCTORS, MEMS/NEMS AND MOEMS 2020(2020)

Cited 0|Views0
No score
Abstract
The high-throughput EBM-mask writer, EBM-8000P has been developed for mature node mask market. The EBM-8000P inherits basic architectures from the previous EBM-8000 system, i.e. electron optics with 50kV acceleration voltage, 400A/cm(2) current density, variable shaped beam (VSB), and also, user interface such as JOB control system, mask handling system, which are equivalent to our latest single electron beam mask writers.The EBM-8000P has two models, the EBM-8000P/H, which is equivalent to the conventional EBM-8000, and the EBM-8000P/M, which aims for high throughput.The mask-writing throughput depends largely on the beam shot size and the current density, based on the generation of mask nodes. The EBM-8000P/M achieves high throughput and enough accuracy for 45-20 node by enlarging the maximum shot size while maintaining a current density of 400A/cm(2).Therefore, it is possible to achieve throughput that is 1.5 to 2 times faster than the conventional 70A/cm(2) mask writer (such as EBM-6000) which is for 45-20nm node.
More
Translated text
Key words
electron beam mask writer, throughput, CD uniformity, image placement, node
AI Read Science
Must-Reading Tree
Example
Generate MRT to find the research sequence of this paper
Chat Paper
Summary is being generated by the instructions you defined