Alternative Euvl Resist Processes For Stochastic Defect Reduction

EXTREME ULTRAVIOLET LITHOGRAPHY 2020(2020)

引用 0|浏览0
暂无评分
摘要
Investigations on the application of aqueous ethyltrimethylammonium hydroxide (ETMAH) as an alternative developer solution for extreme ultraviolet lithography (EUVL) were carried out. Utilizing a widely-used chemically amplified resist, it was found that the ETMAH developer solution has no negative impact on lithographic performance; resolution, line width / line edge roughness, and sensitivity (compared to the de facto standard aqueous tetramethylammonium hydroxide or TMAH). Stochastic defectivity analysis was performed by considering the effect of line-break (in the overdose region) and line-bridge defects (in the underdose region), on exposure latitude (ELX) and critical dimension or CD margin (CDMX). Results show that the ETMAH improves ELX and CDMX by around 10% and 5%, respectively at a lower developer solution concentration of 0.20N. These results confirmed the capability of the ETMAH in reducing resist-based stochastic defects, demonstrating its potential as an alternative developer solution for EUVL.
更多
查看译文
关键词
Resist process, developer solution, aqueous alkali, stochastic defects
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要