Chrome Extension
WeChat Mini Program
Use on ChatGLM

Application Of Alternative Developer Solutions For Euv Lithography

EXTREME ULTRAVIOLET (EUV) LITHOGRAPHY XI(2020)

Cited 7|Views1
No score
Abstract
The application / effect of alternative developers for resist-based pattern defect mitigation was investigated. It was found that compared to the standard aqueous 0.26N TMAH developer solution, the same developer at lower concentration effectively improve the defect margin (indicated here in the form of a defect-sensitive exposure latitude (ELX) and critical dimension margin (CDMX) metric). Moreover, the existence of a developer concentration low limit, where ELX and CDMX significantly decrease, was observed. Alternative developers TEAH, TPAH and TBAH, when adjusted to the most effective developer concentration were found to improve defect margin. These results show the advantages of pursuing optimal developer solutions in mitigating resist-based defects while maintaining lithographic performance.
More
Translated text
Key words
Resist process, defects, developer solutions, developer concentrations
AI Read Science
Must-Reading Tree
Example
Generate MRT to find the research sequence of this paper
Chat Paper
Summary is being generated by the instructions you defined