Chrome Extension
WeChat Mini Program
Use on ChatGLM

一种应用于SOC中的时钟复位管理单元的设计

China Integrated Circuit(2016)

Cited 0|Views1
No score
Abstract
本文介绍了一种应用于SOC中的时钟复位管理单元(CRMU)的设计.该CRMU一方面提供SOC中各模块所需的时钟信号和复位信号,另一方面要通过必要的逻辑设计来保证所产生时钟/复位信号的稳定性并且避免毛刺的产生.CRMU本身也是可以根据需要进行配置的,以满足SOC的多种需求,配置接口为AHB2.0.
More
AI Read Science
Must-Reading Tree
Example
Generate MRT to find the research sequence of this paper
Chat Paper
Summary is being generated by the instructions you defined