14Nm Fin Sadp Patterning Processes and Integration

Chunyan Yi,Ming Li, Yongjian Lou, Weijun Wang, Zhunhua Liu,Xiaoqiang Zhou, Wen Xu,Ying Zhang

2020 China Semiconductor Technology International Conference (CSTIC)(2020)

引用 1|浏览5
暂无评分
摘要
This paper describes the development of a Self-Aligned Double Patterning (SADP) scheme for advanced technology node 14 nm Fin. The process development focus on profile tuning and pitch walking reduction. This study has achieved a tunable fully integrated SAPD process flow, which has met all key specs for 14nm Fin patterning. This study established an technical foundation which can be used in other key SADP applications and a platform for extending our patterning process capability to SAQP(Self-Aligned Quadruple Patterning) for advanced technology nodes.
更多
查看译文
关键词
self-aligned double patterning scheme,self-aligned quadruple patterning,SADP applications,fin SADP patterning processes,SAPD process flow,pitch walking reduction
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要