Multi-beam mask writer MBM-1000

Proceedings of SPIE(2019)

引用 2|浏览2
暂无评分
摘要
A multi-beam mask writer MBM-1000 is developed for the N5 semiconductor production. It is designed to accomplish high resolution with 10-nm beam and high throughput with the 300-Gbps blanking aperture array and inline corrections. It inherits dose correction functions for secondary dose from scattered electron which has been used with single variable shaped beam (VSB) writers with full compatibility. It also has new corrections in scale shorter than 10 um to cope with electron backscattering occurring with EUV substrates and CD bias from resist process. To improve patterning resolution, pixel level dose correction (PLDC) is implemented to correct and enhance profile of dose deposited in resist. Writing test with pCAR showed that PLDC solves breakage and loss of assist patterns without affecting shape and size of main patterns.
更多
查看译文
关键词
multi beam,electron beam,blanking aperture array,throughput,shot count
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要