Constructing a robust PSCAR (TM) process for EUV

Proceedings of SPIE(2018)

Cited 2|Views31
No score
Abstract
In order to lower the cost of ownership of EUV lithography, high sensitivity EUV resists, enabling higher throughput of EUV scanners are being explored. The concept that utilizes a Photosensitized Chemically Amplified Resist (TM) (PSCAR (TM)) is a promising solution for achieving increased resist sensitivity, while maintaining other high performance characteristics of the material (i.e., resolution, line edge roughness (LER), exposure latitude). PSCAR uses a UV exposure after EUV exposure and selective absorption to meet these goals. Preliminary results have been discussed in previous papers(1-8). PSCAR utilizes an area-selective photosensitization mechanism to generatemore acid in the exposed areas during a UV exposure. PSCAR is an attempt to break the resolution, line-edge-roughness, and sensitivity trade-off (RLS trade-off) relationships that limit standard chemically amplified resists. The photosensitizer, which is generated in exposed area by a photoacid catalytic reaction, absorbs the UV exposure light selectively and generates additional acid in the exposed area only. Material development and UV exposure uniformity are the key elements of PSCAR technology for semiconductor mass fabrication. This paper will review the approaches toward improvement of PSCAR resist process robustness. The chemistry's EUV exposure cycle of learning results from experiments at imec will be discussed.
More
Translated text
Key words
Photosensitized Chemically Amplified Resist (TM),PSCAR (TM),sensitivity,resolution,LER,RLS trade-off
AI Read Science
Must-Reading Tree
Example
Generate MRT to find the research sequence of this paper
Chat Paper
Summary is being generated by the instructions you defined