Etching of sub-10 nm half-pitch high chi block copolymers for directed self-assembly (DSA) application

MICROELECTRONIC ENGINEERING(2020)

引用 6|浏览8
暂无评分
摘要
Directed Self-Assembly of block copolymers is a lithographic technique being developed to reach sub-10 nm technological nodes. Recently, high chi block copolymers have been developed to achieve higher resolution. In this paper, the high chi system investigated is a modified polystyrene-b-poly(methyl methacrylate)(PS-b-PMMA) presenting a pitch of 18 nm. One critical step for its integration is the PMMA removal selectively to the PS. Two approaches to remove the PMMA phase are presented, highlighting the challenges encountered due to its smaller dimensions. The first one is a full dry approach based on a CH4/N-2 chemistry, which presents some bridge formation due to intensive sidewalls passivation and to a species confinement effect accentuated by the small 9nm critical dimension of the lines. Therefore, the main high chi PS-b-PMMA dry etching difficulties come from the trade-off between obtaining high selectivity and avoiding bridge formation. The second approach is a mixed wet and dry PMMA removal process based on UV exposure followed by solvent rinse. An Ar/O-2 dry brush layer opening was developed and the pattern transfer into the SiO2 and Si underlayers is demonstrated for the high chi PS-b-PMMA.
更多
查看译文
关键词
Plasma etching,Block copolymers,Directed self-assembly,High chi,Pattern transfer
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要