EUV resist sensitization and roughness improvement by PSCAR (TM) with in-line UV flood exposure system

ADVANCES IN PATTERNING MATERIALS AND PROCESSES XXXV(2018)

引用 4|浏览21
暂无评分
摘要
Photosensitized Chemically Amplified Resist (TM) (PSCARTM)** 2.0's advantages and expectations are reviewed in this paper. Alpha PSCAR in-line UV exposure system ("Litho Enhancer") was newly installed at imec in a Tokyo Electron Ltd. (TEL (TM))'s CLEAN TRACK (TM) LITHIUS Pro (TM) Z connected to an ASML's NXE:3300. Using the Litho Enhancer, PSCAR 2.0 sensitization preliminary results show that suppression of roughness enhancement may occur while sensitivity is increased. The calibrated PSCAR 2.0 simulator is used for prediction of resist formulation and process optimization. The simulation predicts that resist contrast enhancement could be realized by resist formulation and process optimization with UV flood exposure.
更多
查看译文
关键词
Photosensitized Chemically Amplified Resist,PSCAR,PSCAR 2.0,chemically amplified resist,sensitivity,LER,resist contrast,photosensitizer,photosensitizer precursor,in-line coater/developer,flood exposure,Litho Enhancer
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要