Prospector: Synthesizing Efficient Accelerators via Statistical Learning

2020 Design, Automation & Test in Europe Conference & Exhibition (DATE)(2020)

引用 18|浏览17
暂无评分
摘要
Accelerator design is expensive due to the effort required to understand an algorithm and optimize the design. Architects have embraced two technologies to reduce costs. High-level synthesis automatically generates hardware from code. Reconfigurable fabrics instantiate accelerators while avoiding fabrication costs for custom circuits. We further reduce design effort with statistical learning. We build an automated framework, called Prospector, that uses Bayesian techniques to optimize synthesis directives, reducing execution latency and resource usage in field-programmable gate arrays. We show in a certain amount of time designs discovered by Prospector are closer to Pareto-efficient designs compared to prior approaches.
更多
查看译文
关键词
High-level synthesis,design space exploration,FPGA,Bayesian optimization
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要