RTL-Aware Dataflow-Driven Macro Placement

2019 Design, Automation & Test in Europe Conference & Exhibition (DATE)(2019)

Cited 7|Views39
No score
Abstract
When RTL designers define the hierarchy of a system, they exploit their knowledge about the conceptual abstractions devised during the design and the functional interactions between the logical components. This valuable information is often lost during physical synthesis. This paper proposes a novel multi-level approach for the macro placement problem of complex designs dominated by macro blocks, typically memories. By taking advantage of the hierarchy tree, the netlist is divided into blocks containing macros and standard cells, and their dataflow affinity is inferred considering the latency and flow width of their interaction. The layout is represented using slicing structures and generated with a top-down algorithm capable of handling blocks with both hard and soft components, aimed at wirelength minimization. These techniques have been applied to a set of large industrial circuits and compared against both a commercial floorplanner and handcrafted floorplans by expert back-end engineers. The proposed approach outperforms the commercial tool and produces solutions with similar quality to the best handcrafted floorplans. Therefore, the generated floorplans provide an excellent starting point for the physical design iterations and contribute to reduce turn-around time significantly.
More
Translated text
Key words
wirelength minimization,conceptual abstractions,RTL designers,RTL-aware dataflow-driven macro placement,physical design iterations,generated floorplans,soft components,hard components,dataflow affinity,standard cells,hierarchy tree,macro blocks,complex designs,macro placement problem,physical synthesis,logical components,functional interactions
AI Read Science
Must-Reading Tree
Example
Generate MRT to find the research sequence of this paper
Chat Paper
Summary is being generated by the instructions you defined