Ubm/Rdl Deposition By Pvd For Fowlp In High Volume Production

2018 IEEE 68th Electronic Components and Technology Conference (ECTC)(2018)

引用 3|浏览0
暂无评分
摘要
Fan-out wafer level packaging (FO-WLP) technology is an increasingly popular solution for obtaining high level device integration with a greater I/O count, at a lower cost.In FO-WLP schemes, singulated die are embedded in epoxy mold compound (EMC). While EMC is a cost-effective material, it readily absorbs moisture, as do the polymer dielectrics used to electrically isolate adjacent metal interconnects. These materials must be degassed prior to physical vapor deposition (PVD) of under-bump metals (UBM) and redistribution layers (RDL), otherwise metal to metal interfaces can be contaminated, negatively impacting electrical performance of the device. With the low thermal budget of the EMC (<150 degrees C), an effective degas requires low temperature and long process times that can significantly reduce throughput if using a single wafer degas approach. This paper describes a "Multi-Wafer Degas" solution under vacuum to eliminate the "degas bottleneck" and ensure lowest contact resistance (Rc). This solution typically doubles the throughput compared with competing PVD systems, and is being used in high volume 300mm FO-WLP production. In addition, vacuum degassing is compared with atmospheric degassing techniques, with clear benefits in performance being identified for the vacuum degas approach.In addition, prior to UBM/RDL metallization, native oxide needs to be removed from exposed metal contacts. In the SPTS Sigma (R) fxP PVD system, ICP etch technology is used to limit the energy of ions at the wafer, and so limit the peak wafer temperature. In high density packages using multiple RDL, the upper interconnect level can present large exposed areas of metal to the pre-clean module, which can lead to a breakdown in RF coupling in ICP technology during etch. The presence of organic passivation such as PI or PBO can also lead to premature particle failures because of poor adhesion of organics to chamber furniture. This paper introduces a pre-clean etch module, designed to provide ICP 'soft etch' cleaning capability whilst overcoming the process and particle stability challenges posed by increased I/O contacts and organics. Data from systems in production will be presented, demonstrating the efficacy of the technology. The paper will show long time between chamber cleans in an ICP pre-clean module, along with low & stable Rc data.System modifications for coping with epoxy mold wafer warpage and cost reduction efforts are also discussed.
更多
查看译文
关键词
Fan-Out WLP,FO-WLP,UBM/RDL,Epoxy Mold Compound,Rc
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要