谷歌浏览器插件
订阅小程序
在清言上使用

ALMARVI Execution Platform: Heterogeneous Video Processing SoC Platform on FPGA

Journal of Signal Processing Systems(2019)

引用 5|浏览9
暂无评分
摘要
The proliferation of processing hardware alternatives allows developers to use various customized computing platforms to run their applications in an optimal way. However, porting application code on custom hardware requires a lot of development and porting effort. This paper describes a heterogeneous computational platform (the ALMARVI execution platform) comprising of multiple communicating processors that allow easy programmability through an interface to OpenCL. The ALMARVI platform uses processing elements based on both VLIW and Transport Triggered Architectures ( ρ -VEX and TCE cores, respectively). It can be implemented on Zynq devices such as the ZedBoard, and supports OpenCL by means of the pocl (Portable OpenCL) project and our ALMAIF interface specification. This allows developers to execute kernels transparently on either processing elements, thereby allowing to optimize execution time with minimal design and development effort.
更多
查看译文
关键词
ALMARVI,OpenCL,pocl,TTA,TCE,rVEX,ZYNQ
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要