Stochastics in extreme ultraviolet lithography: investigating the role of microscopic resist properties for metal-oxide-based resists

JOURNAL OF MICRO-NANOLITHOGRAPHY MEMS AND MOEMS(2018)

引用 21|浏览3
暂无评分
摘要
Due to the high energy of extreme ultraviolet (EUV) photons, stochastic effects become more important at a constant dose when compared with deep ultraviolet exposures. Photoresists are used to transfer information from the aerial image into physical features and play an important role in the transduction of these stochastic effects. Recently, metal-oxide-based nonchemically amplified resists (non-CARs) have attracted a lot of attention. We study how the properties of these non-CARs impact the local critical dimension uniformity (LCDU) of a regular contact hole array printed with EUV lithography using Monte Carlo simulations and an analytical model. We benchmark both the simulations and the analytical model to experimental data, and then use the flexibility of both methods to systematically investigate the role of microscopic resist properties in the final LCDU. It is found that metal-oxide clusters should be <1 nm in diameter, otherwise granularity will have a significant contribution to LCDU. When varying resist properties to change the resist dose-to-size, we find that the LCDU scaling with dose depends on how the resist is modified. After performing an overall sensitivity analysis to identify the optimum scaling of LCDU with dose, we find a scaling of dose(-0.5) when the development threshold is modified, and a scaling of dose(-0.)(33) when core radius or the quantum efficiency is changed. (C) The Authors. Published by SPIE under a Creative Commons Attribution 3.0 Unported License.
更多
查看译文
关键词
stochastics,local critical dimension uniformity,lithography,simulation,photoresist,blur
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要