Demonstration of an N7 integrated fab process for metal oxide EUV photoresist

Proceedings of SPIE(2016)

引用 14|浏览10
暂无评分
摘要
Inpria has developed a directly patternable metal oxide hard-mask as a robust, high-resolution photoresist for EUV lithography. In this paper we demonstrate the full integration of a baseline Inpria resist into an imec N7 BEOL block mask process module. We examine in detail both the lithography and etch patterning results. By leveraging the high differential etch resistance of metal oxide photoresists, we explore opportunities for process simplification and cost reduction. We review the imaging results from the imec N7 block mask patterns and its process windows as well as routes to maximize the process latitude, underlayer integration, etch transfer, cross sections, etch equipment integration from cross metal contamination standpoint and selective resist strip process. Finally, initial results from a higher sensitivity Inpria resist are also reported. A dose to size of 19 mJ/cm(2) was achieved to print pillars as small as 21nm.
更多
查看译文
关键词
EUV photoresist,metal oxide photoresist,patternable hardmask,inorganic negative tone resist,N7 integration,process simplification
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要