Model-Checking Synthesizable SystemVerilog Descriptions of Asynchronous Circuits

2018 24th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC)(2018)

引用 9|浏览18
暂无评分
摘要
Asynchronous circuits have key advantages in terms of low energy consumption, robustness, and security. However, the absence of a global clock makes the design prone to deadlock, livelock, synchronization, and resource-sharing errors. Formal verification is thus essential for designing such circuits, but it is not widespread enough, as many hardware designers are not familiar with it and few verification tools can cope with asynchrony on complex designs. This paper suggests how an industrial design flow for asynchronous circuits, based upon the standard HDL SystemVerilog, can be supplemented with formal verification capabilities rooted in concurrency theory and model-checking technology. We demonstrate the practicality of our approach on an industrial asynchronous circuit (4000 lines of SystemVerilog) implementing a memory protection unit.
更多
查看译文
关键词
Asynchronous circuit,asynchronous design,asynchronous logic,computer aided design,CADP,concurrency,formal verification,hardware design,LNT,memory protection unit,model checking,SystemVerilog
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要