An Adaptive 3T-3MTJ Memory Cell Design for STT-MRAM-Based LLCs.

IEEE Transactions on Very Large Scale Integration (VLSI) Systems(2018)

引用 18|浏览38
暂无评分
摘要
The STT-MRAM technology is a promising candidate for future on-chip cache memory because of its high density, low standby power, and nonvolatility. As the technology node scales, especially under 40-nm technology node, STT-MRAM cell design becomes a key issue to approach low power consumption, high access performance, and desirable reliability. The conventional 1T-1 magnetic tunnel junction (MTJ) ...
更多
查看译文
关键词
Computer architecture,Microprocessors,Sensors,Magnetic tunneling,Resistance,Mathematical model,Reliability
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要