Architectural tradeoffs for biodegradable computing.

MICRO-50: The 50th Annual IEEE/ACM International Symposium on Microarchitecture Cambridge Massachusetts October, 2017(2017)

引用 11|浏览82
暂无评分
摘要
Organic thin-film transistors (OTFTs) have attracted increased attention because of the possibility to produce environmentally friendly low-cost, lightweight, flexible, and even biodegradable devices. With an increasing number of complex applications being proposed for organic and biodegradable semiconductors, the need for computation horsepower also rises. However, due to the process characteristic differences, direct adaptation of silicon-based circuit designs and traditional computer architecture wisdom is not applicable. In this paper, we analyze the architectural tradeoffs for processor cores made with an organic semiconductor process. We built an OTFT simulation framework based on experimental pentacene OTFTs. This framework includes an organic standard cell library and can be generalized to other organic semiconductors. Our results demonstrate that, compared to modern silicon, organic semiconductors favor building deeper pipelines and wider superscalar designs. To the best of our knowledge, this is the first work to explore the architectural differences between silicon and organic technology processes.
更多
查看译文
关键词
Biodegradable computing, organic electronics, novel device architecture, emerging devices
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要