Design and Analysis of an APU for Exascale Computing

2017 IEEE International Symposium on High Performance Computer Architecture (HPCA)(2017)

引用 93|浏览310
暂无评分
摘要
The challenges to push computing to exaflop levels are difficult given desired targets for memory capacity, memory bandwidth, power efficiency, reliability, and cost. This paper presents a vision for an architecture that can be used to construct exascale systems. We describe a conceptual Exascale Node Architecture (ENA), which is the computational building block for an exascale supercomputer. The ENA consists of an Exascale Heterogeneous Processor (EHP) coupled with an advanced memory system. The EHP provides a high-performance accelerated processing unit (CPU+GPU), in-package high-bandwidth 3D memory, and aggressive use of die-stacking and chiplet technologies to meet the requirements for exascale computing in a balanced manner. We present initial experimental analysis to demonstrate the promise of our approach, and we discuss remaining open research challenges for the community.
更多
查看译文
关键词
APU,exascale computing,exaflop levels,memory capacity,memory bandwidth,power efficiency,exascale systems,exascale node architecture,ENA,exascale supercomputer,exascale heterogeneous processor,EHP,memory system,high-performance accelerated processing unit,CPU,GPU,high-bandwidth 3D memory,die-stacking,chiplet technologies
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要