Chrome Extension
WeChat Mini Program
Use on ChatGLM

Ti-States: Power Management in Active Timing Margin Processors

IEEE MICRO/IEEE micro(2017)

Cited 3|Views74
No score
Abstract
Temperature inversion is a transistor-level effect that improves performance when temperature increases. This article presents a comprehensive measurement-based analysis on temperature inversion's implications on architecture design and power management using the AMD A10-8700P processor. The authors propose temperature-inversion states (Ti-states) to harness the opportunities promised by temperature inversion. They expect Ti-states to be able to improve the power efficiency of many processors manufactured in future CMOS technologies.
More
Translated text
Key words
Temperature measurement,Timing,Program processors,Temperature control,Transistors,Voltage measurement,Switching circuits
AI Read Science
Must-Reading Tree
Example
Generate MRT to find the research sequence of this paper
Chat Paper
Summary is being generated by the instructions you defined