A Unified Test And Fault-Tolerant Multicast Solution For Network-On-Chip Designs

PROCEEDINGS 2016 IEEE INTERNATIONAL TEST CONFERENCE (ITC)(2016)

引用 13|浏览40
暂无评分
摘要
We present a unified test technique that targets all the components of a network-on-chip design. The proposed technique targets faults in links, routers, and cores. Link faults are first located using built-in self-test hardware inserted in the routers. Test packets for routers are delivered to the routers via the fault-free links and routers identified in the previous steps. A test packet can be corrupted by faulty links or routers, therefore, it is delivered across only previously identified fault-free routers/links. Test packet delivery for routers is implemented as a fault-tolerant unicast-based multicast scheme within the tested part of the network-on-chip. After all faulty routers are identified, a new fault-tolerant unicast-based multicast routing technique is proposed to deliver test packets for the cores. Identical cores share the same test set, and they are tested within the same test session. Simulation results highlight the effectiveness of the proposed method in reducing test time.
更多
查看译文
关键词
Core testing,fault-tolerant unicast-based multicast,NOC testing,on-chip networks,router testing
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要