Using Existing Reconfigurable Logic in 3D Die Stacks for Test

2016 IEEE 25th North Atlantic Test Workshop (NATW)(2016)

引用 3|浏览34
暂无评分
摘要
We propose an architecture for an FPGA-based tester for a 3D stacked IC. Our design exploits the underlying structure of the FPGA, allowing it to be used to efficiently store and apply predefined test patterns at a high bandwidth, reducing the FPGA resources required and often reducing scan shift toggling. The proposed approach and its advantages can generally also be applied to 2.5D multi-die circuits containing FPGAs.
更多
查看译文
关键词
reconfigurable logic,3D die stacks,FPGA-based tester,3D stacked IC
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要