A Lifetime-Aware Runtime Mapping Approach For Many-Core Systems In The Dark Silicon Era

DATE '16: Proceedings of the 2016 Conference on Design, Automation & Test in Europe(2016)

引用 22|浏览40
暂无评分
摘要
In this paper, we propose a novel lifetime reliability-aware resource management approach for many-core architectures. The approach is based on hierarchical architecture, composed of a long-term runtime reliability analysis unit and a short-term runtime mapping unit. The former periodically analyses the aging status of the various processing units with respect to a target value specified by the designer, and performs recovery actions on highly stressed cores. The calculated reliability metrics are utilized in runtime mapping of the newly arrived applications to maximize the performance of the system while fulfilling reliability requirements and the available power budget. Our extensive experimental results reveal that the proposed reliability-aware approach can efficiently select the processing cores to be used over time in order to enhance the reliability at the end of the operational life (up to 62%) while offering the comparable performance level of the state-of-the-art runtime mapping approach.
更多
查看译文
关键词
Dark Silicon,Lifetime Reliability,Many-core Architectures,Mapping,Runtime Management
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要