Chrome Extension
WeChat Mini Program
Use on ChatGLM

A Polyhedral-based SystemC Modeling and Generation Framework for Effective Low-power Design Space Exploration

International Conference on Computer-Aided Design(2015)

Cited 34|Views409
No score
Abstract
With the prevalence of System-on-Chips there is a growing need for automation and acceleration of the design process. A classical approach is to take a C/C++ specification of the application, convert it to a SystemC (or equivalent) description of hardware implementing this application, and perform successive refinement of the description to improve various design metrics. In this work, we present an automated SystemC generation and design space exploration flow alleviating several productivity and design time issues encountered in the current design process. We first automatically convert a subset of C/C++, namely affine program regions, into a full SystemC description through polyhedral model-based techniques while performing powerful data locality and parallelism transformations. We then leverage key properties of affine computations to design a fast and accurate latency and power characterization flow. Using this flow, we build analytical models of power and performance that can effectively prune away a large amount of inferior design points very fast and generate Pareto-optimal solution points. Experimental results show that (1) our SystemC models can evaluate system performance and power that is only 0.57% and 5.04% away from gate-level evaluation results, respectively; (2) our latency and power analytical models are 3.24% and 5.31% away from the actual Pareto points generated by SystemC simulation, with 2091x faster design-space exploration time on average. The generated Pareto-optimal points provide effective low-power design solutions given different latency constraints.
More
Translated text
Key words
polyhedral-based SystemC modeling,generation framework,low-power design space exploration,system-on-chips,automation,acceleration,design process,C/C++ specification,automated SystemC generation,design space exploration flow,polyhedral model-based techniques,Pareto-optimal solution points,SystemC models,Pareto points,SystemC simulation,faster design-space exploration time,Pareto-optimal points
AI Read Science
Must-Reading Tree
Example
Generate MRT to find the research sequence of this paper
Chat Paper
Summary is being generated by the instructions you defined