Novel resist approaches to enable EUV lithography in high volume manufacturing and extensions to future nodes

Proceedings of SPIE(2015)

Cited 5|Views5
No score
Abstract
EUV lithography is needed by the semiconductor industry for both its resolution and for the process simplification it provides compared to multiple patterning. However it needs innovations to make it a success. One area where innovation is needed is resist performance. Resists that are commercially available for EUV use are typically based on conventional chemically amplified resist chemistry. So far, this has not provided the required performance at fast enough photo speed. Many innovative resist systems have been introduced in the last few years that have novel mechanisms and/or incorporate novel chemical elements with high EUV absorbance. These new systems are promising enough for EUV use that work on many of them now needs to shift to characterizing their functional parameters and optimizing their performance. For the future, new systems beyond these will have to focus on reducing the inherent noise in resist imaging. The concept of pixelated resists is introduced and it is suggested pixelated resists are one possible avenue for imaging sub 10nm features with sufficient feature size and profile control.
More
Translated text
Key words
Extreme Ultraviolet Lithography (EUVL),Photoresist,Metal Containing Resists,Resist Development,Resist Characterization,Pixelated Resist
AI Read Science
Must-Reading Tree
Example
Generate MRT to find the research sequence of this paper
Chat Paper
Summary is being generated by the instructions you defined