Integrated fab process for metal oxide EUV photoresist

ADVANCES IN PATTERNING MATERIALS AND PROCESSES XXXII(2015)

引用 62|浏览16
暂无评分
摘要
Inpria is developing directly patternable, metal oxide hardmasks as robust, high-resolution photoresists for EUV lithography. Targeted formulations have achieved 13nm half-pitch at 35 mJ/cm(2) on an ASML's NXE:3300B scanner. Inpria's second-generation materials have an absorbance of 20/mu m, thereby enabling an equivalent photon shot noise compared to conventional resists at a dose lower by a factor of 4X. These photoresists have similar to 40:1 etch selectivity into a typical carbon underlayer, so ultrathin 20nm films are possible, mitigating pattern collapse. In addition to lithographic performance, we review progress in parallel advances required to enable the transition from lab to fab for such a metal oxide photoresist. This includes considerations and data related to: solvent compatibility, metals cross-contamination, coat uniformity, stability, outgassing, and rework.
更多
查看译文
关键词
EUV photoresist,metal oxide photoresist,patternable hardmask,inorganic negative tone resist
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要