Effects Of Beol Copper Cmp Process On Tddb For Direct Polishing Ultra-Low K Dielectric Cu Interconnects At 28nm Technology Node And Beyond

Y L Hsieh,W C Lin,Y M Lin, H K Hsu,C H Chen, W C Tsao,C W Hsu, R P Huang,C H Lin, Y H Su,Kun Liu, C C Huang,J Y Wu

2013 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM (IRPS)(2013)

引用 9|浏览11
暂无评分
摘要
A robust Cu chemical mechanical polishing (CMP) process with better post CMP polishing profile, range, lower defectivity, smooth copper surface, tighten metal line sheet resistance (Rs) and pattern loading control has been evaluated during the Cu-CMP process at 28nm and beyond. Various reasons of Time-Dependent Dielectric Breakdown (TDDB) failure including micro-scratches on interconnect surface post Cu CMP, new barrier slurry with lower solid content, smaller abrasive size and polish pad with lower hardness than Dow pad IC pad from a direct polishing ultra low k (ULK) dielectric Cu back-end-of-line (BEOL) structure at 28nm node and beyond will be discussed. It is clearly shown that with smaller slurry abrasive and lower content ratio, TDDB performance can be improved. Furthermore, based on this study, the TDDB reliability performance also can be effectively improved by using a new barrier slurry with better copper recess and pattern density loading control, soft polish pad with smooth Cu surface, a better step coverage with multi-layer capping layer, and a slightly higher dielectric constant ULK film. The lifetime of the TDDB can be significantly improved over two orders of magnitudes by implementing an optimized new barrier slurry and softer polish pad at 28nm technology node.
更多
查看译文
关键词
component : micro-scratch, Selectivity, loading effect, TDDB
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要