A unified {2n−1, 2n, 2n+1} RNS scaler with dual scaling constants

Circuits and Systems(2012)

引用 2|浏览3
暂无评分
摘要
Scaling is often used to prevent overflow in digital signal processing (DSP). Unfortunately, scaling in residue number system (RNS) consumes significant hardware area and delay. The problem is worsened when more than one scaling factors are needed. Applications in which the computation results fall into two distinct dynamic ranges could benefit from having two scaling factors for better trade-off between precision and hardware savings. This paper presents a new unified architecture for scaling an integer in the three-moduli set {2n-1, 2n, 2n+1} RNS by two different scaling factors, 2n(2n+1) and 2n. The unified architecture has hardware complexity approximating the most compact adder-based RNS scaler for a single scaling constant of 2n. Our analysis shows that the proposed dual scaler design is not only several orders of magnitude smaller but also significantly faster than the fastest LUT-based RNS scalers for the same scaling constants.
更多
查看译文
关键词
adders,digital signal processing chips,residue number systems,DSP,LUT-based RNS scalers,adder-based RNS scaler,different scaling factors,digital signal processing,dual scaler design,dual scaling constants,dynamic ranges,hardware area,hardware complexity,hardware savings,residue number system,single scaling constant,three-moduli set,unified architecture
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要