RRAM-based Analog Approximate Computing

IEEE Trans. on CAD of Integrated Circuits and Systems(2015)

引用 138|浏览97
暂无评分
摘要
Approximate computing is a promising design paradigm for better performance and power efficiency. In this work, we propose a power efficient framework for analog approximate computing with the emerging metal-oxide resistive switching random-access memory (RRAM) devices. A programmable RRAM-based approximate computing unit (RRAM-ACU) is introduced first to accelerate approximated computation, and an approximate computing framework with scalability is then proposed on top of the RRAM-ACU. In order to program the RRAM-ACU efficiently, we also present a detailed configuration flow, which includes a customized approximator training scheme, an approximator-parameter-to-RRAM-state mapping algorithm, and an RRAM state tuning scheme. Finally, the proposed RRAMbased computing framework is modeled at system level. A predictive compact model is developed to estimate the configuration overhead of RRAM-ACU and help explore the application scenarios of RRAM-based analog approximate computing. The simulation results on a set of diverse benchmarks demonstrate that, compared with a x86-64 CPU at 2GHz, the RRAM-ACU is able to achieve 4.06196.41 speedup and power efficiency of 24.59567.98 GFLOPS/W with quality loss of 8.72% on average. And the implementation of HMAX application demonstrates that the proposed RRAM-based approximate computing framework can achieve >12.8 power efficiency than its pure digital implementation counterparts (CPU, GPU, and FPGA).
更多
查看译文
关键词
rram,approximate computing,neural network,power efficiency
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要