MP3: Minimizing performance penalty for power-gating of Clos network-on-chip

HPCA(2014)

引用 68|浏览59
暂无评分
摘要
Power-gating is a promising technique to mitigate the increasing static power of on-chip routers. Clos networks are potentially good targets for power-gating because of their path diversity and decoupling between processing elements and most of the routers. While power-gated Clos networks can perform better than power-gated direct networks such as meshes, a significant performance penalty exists when conventional power-gating techniques are used. In this paper, we propose an effective power-gating scheme, called MP3 (Minimal Performance Penalty Power-gating), which is able to achieve minimal (i.e., near-zero) performance penalty and save more static energy than conventional power-gating applied to Clos networks. MP3 is able to completely remove the wakeup latency from the critical path, reduce long-term and transient contention, and actively steer network traffic to create increased power-gating opportunities. Full system evaluation using PARSEC benchmarks shows that the proposed approach can significantly reduce the performance penalty to less than 1% (as opposed to 38% with conventional power-gating) while saving more than 47% of router static energy, with only 2.5% additional area overhead.
更多
查看译文
关键词
parsec benchmarks,static power,mp3,power aware computing,long-term contention,multistage interconnection networks,processing elements,path decoupling,path diversity,transient contention,clos network-on-chip,power-gated direct networks,minimal performance penalty power-gating technique,benchmark testing,performance evaluation,router static energy,on-chip routers,wakeup latency,network-on-chip,power-gated clos networks,topology,system on chip,network topology,logic gates
AI 理解论文
溯源树
样例
生成溯源树,研究论文发展脉络
Chat Paper
正在生成论文摘要