Chrome Extension
WeChat Mini Program
Use on ChatGLM

Wafer-level 3D integration with Cu TSV and micro-bump/adhesive hybrid bonding technologies

3D Systems Integration Conference(2012)

Cited 9|Views22
No score
Abstract
Cu TSV combination with Cu/Sn micro-joint to form vertical interconnection is a good alternative for 3D integration. The insertion loss of two chip stack was evaluated by simulation to realize the signal transmission effects in high speed digital signaling via TSV and micro-joint interconnect. To satisfy the throughput and cost requirement for mass production in future, a wafer-level 3D integration scheme with Cu TSVs based on Cu/Sn micro-bump and BCB adhesive hybrid bonding was demonstrated. Key techniques including TSV fabrication, micro-bumping, hybrid bonding, wafer thinning and backside RDL formation were well developed and integrated to perform the 3D integration scheme. This paper presents a complete study of structure design, process condition, electrical and reliability assessment of the wafer-level 3D integration scheme. The 3D integration scheme was assessed to be with excellent electrical performance and reliability, and is potentially to be applied for 3D IC applications.
More
Translated text
Key words
adhesive bonding,copper,integrated circuit design,integrated circuit interconnections,integrated circuit reliability,three-dimensional integrated circuits,tin,wafer level packaging,BCB adhesive hybrid bonding,Cu-Sn,TSV,backside RDL formation,chip stack,cost requirement,high speed digital signaling,insertion loss,mass production,microbump-adhesive hybrid bonding technology,microjoint interconnection,reliability assessment,signal transmission effect,vertical interconnection,wafer thinning,wafer-level 3D integration scheme
AI Read Science
Must-Reading Tree
Example
Generate MRT to find the research sequence of this paper
Chat Paper
Summary is being generated by the instructions you defined